Details

ASIC Design Implementation Process


ASIC Design Implementation Process

A Complete Framework

von: Khosrow Golshan

CHF 83.00

Verlag: Springer
Format: PDF
Veröffentl.: 11.06.2024
ISBN/EAN: 9783031586538
Sprache: englisch
Anzahl Seiten: 150

Dieses eBook enthält ein Wasserzeichen.

Beschreibungen

<p>This book is an easy-to-read guide, providing a complete framework for the ASIC design process. Based on the author’s extensive experience leading ASIC design teams, this book emphasizes short, clear descriptions, supplemented by references to authoritative manuscripts. This approach presents the essence of the ASIC design implementation process for those involved in a specific part of the process, while providing knowledge of the entire process. </p>
<p>1. Design Requirements.- 2. Design Validation.- 3. Design Synthesis.- 4. Physical Design.- 5. Design Verification.- 6. ASIC Testing.- 7. ASIC Qualification.- .</p>
<p>Khosrow Golshan has over 20 years of experience in ASIC design implementation methodology, flow development, and digital ASIC libraries design. He is the author of Physical Design Essentials—An ASIC Design Implementation Perspective, and The Art of Timing Closure— Advanced Design Implementation. In addition, he has published many technical articles and has held several US patents. The author has earned degrees in the areas of Advanced Electrical Engineering (Southern Methodist University, Dallas, TX, Engineering Dept. ), Master of Science in Electrical Engineering (West Coast University, Los Angeles, CA, Engineering Dept.), Master of Science in Applied Mathematics (Southern Methodist University, Dallas, TX, Mathematics Dept.), and a Bachelor of Science in Electronic Engineering (DeVry University, Dallas, TX, Engineering Dept.). He is also an IEEE life member.</p>
<p>This book is an easy-to-read guide, providing a complete framework for the ASIC design process. Based on the author’s extensive experience leading ASIC design teams, this book emphasizes short, clear descriptions, supplemented by references to authoritative manuscripts. This approach presents the essence of the ASIC design implementation process for those involved in a specific part of the process, while providing knowledge of the entire process.&nbsp;</p>

<ul>
<li>Provides succinct introductions to key topics, with references as needed for further technical depth;&nbsp;</li>
<li>Covers the entire ASIC design process, giving context for those focused on a particular aspect of the process;</li>
<li>Describes a complete framework through which an ASIC design implementation process can be executed efficiently.&nbsp;</li>
</ul>
Provides succinct introductions to key topics, with references as needed for further technical depth Covers the entire ASIC design process, giving context for those focused on a particular aspect of the process Describes a complete framework through which an ASIC design implementation process can be executed efficiently

Diese Produkte könnten Sie auch interessieren: